Tag Archives: 20nm

5-minute, 20nm Q&A. All you need to know in 5 minutes.

What are the key advantages of moving to 20nm? There are three primary reasons why we are seeing more system and semiconductor companies consider 20nm: performance, power, and area (PPA). Essentially, this is a “next-node” answer, which is still as … Continue reading

Posted in 20nm, Silicon Realization, SoC, SoC Realization | Tagged , , , | Leave a comment

Daniel Nenni in SemiWiki: 20nm is like mango beer. Surprisingly, “very good!!!”

Well this is a strange analogy that would never occur to me. Daniel Nenni in his new SemiWiki post compares the 20nm process node to—of all things—mango beer. He writes: “As it turns out, the mango beer is very good! … Continue reading

Posted in 20nm, EDA360, Silicon Realization | Tagged , , , , | Leave a comment

20nm design: What have we learned so far?

Even if you are not currently considering 20nm design, you owe it to yourself to download and read a new 9-page White Paper titled “A Call to Action: How 20nm Will Change IC Design” to learn about some tectonic shifts … Continue reading

Posted in 20nm, 28nm, AMS, Analog, ARM, Design Abstraction, EDA360, Silicon Realization, Verification | Tagged , , , , , , , | 1 Comment

Want details on the TSMC 20nm process technology?

Daniel Nenni has just published a great, short overview of the specifications for the TSMC 20nm process technology on his SemiWiki site. Nenni’s report hits the important benefits of the advanced process technology right at the beginning: 30% faster 1.9x … Continue reading

Posted in 20nm, TSMC | Tagged , , , , | 1 Comment

Beyond breakfast: An ethical bribe for attending “The Path to Yielding at 2(x)nm and Beyond” at DAC

Yesterday, I wrote about a terrific discussion panel about the challenges of 20nm design at DAC. I am moderating the panel and there will be speakers from the Common Platform partners including IBM, Samsung, GLOBALFOUNDRIES (just confirmed!), and Cadence. (See … Continue reading

Posted in 20nm, DAC, EDA360, Silicon Realization | Tagged , , , , , | Leave a comment

At DAC: The path to 20nm includes breakfast on Wednesday, June 6

Want to know how to get to 20nm? Want to know why? Want breakfast at DAC on June 6? This is indeed your lucky day because you can get it all done at a special DAC breakfast panel titled “The … Continue reading

Posted in 20nm, DAC, EDA360, Silicon Realization | Tagged , | Leave a comment

At DAC, take the path to successful 20nm design. The same path leads to breakfast. Wednesday, June 6

If you’ve been following along—or even if you haven’t—Cadence held three 20nm Webinars last week. Perhaps you have to see it in person before you believe it. Fair enough. Here’s your chance to hear first-hand how you can develop high-yielding … Continue reading

Posted in 20nm, EDA360, IBM, Samsung, Silicon Realization, SoC, SoC Realization | Tagged , , , | Leave a comment

Are you preparing for 20nm design? This FREE On-Demand Webinar can help.

Last week ARM, TSMC, and Cadence held a Webinar on 20nm design covering three main points: Its adoption is inevitable. The design and manufacturing challenges are significant. The challenges are manageable given the right tools and methodologies, and solutions are … Continue reading

Posted in 20nm, EDA360, Silicon Realization | Tagged , , , , , | Leave a comment

Three free Webinars answer your questions on 20nm SoC design. What questions do you have?

What can you reasonably expect to get from 20nm? What does it take to implement an ARM Cortex-A15 processor in 20nm? What might come between you and success at 20nm? How can you be more productive when creating 20nm designs? … Continue reading

Posted in 20nm, EDA360, Silicon Realization, SoC, SoC Realization, System Realization | Tagged , , , , | Leave a comment

TSMC simplifies life at 20nm, will offer a single 20nm process technology

TSMC’s Executive Vice President and Co-Chief Operating Officer Dr. Shang-yi Chiang said at yesterday’s TSMC Symposium that the company will offer one process at the 20nm node, as reported by Dylan McGrath of EETimes. This position differs from the two- … Continue reading

Posted in 20nm, EDA360, Silicon Realization | Tagged , , | Leave a comment

Looking at 20nm design? Three free Webinars can help.

With the 20nm click on the process technology dial staring us in the face, you might be wanting some informative, experience-based help. Three free Webinars taking place on May 1, 2, and 3 will give you some extra oomph in … Continue reading

Posted in 20nm, EDA360, Silicon Realization, TSMC | Tagged , , , | Leave a comment

Scaling the peaks to look at the 14nm cliff, Part 2: Tom Beckley from Cadence explains how we’re getting to 20nm and then on to 14nm and 10nm

This week at the ISQED Symposium in Silicon Valley, Tom Beckley who is the Senior VP of R&D for Custom IC and Signoff at Cadence opened the conference with a keynote covering  the industry’s challenges and progress at 20nm and … Continue reading

Posted in 10nm, 14nm, 20nm, 28nm, Design Abstraction, Design Intent, DFM, Double Patterning, EDA360, IBM, Silicon Realization | Tagged , , , , , , , , | Leave a comment

Scaling the 20nm peaks to look at the 14nm cliff, Part 1: Tom Beckley from Cadence maps the challenges of advanced node design at ISQED

Yesterday at the ISQED Symposium in Silicon Valley, Tom Beckley who is the Senior VP of R&D for Custom IC and Signoff at Cadence opened the conference with a keynote that lays out the challenges for IC designers tackling advanced … Continue reading

Posted in 14nm, 20nm, EDA360, Silicon Realization, SoC, SoC Realization | Tagged , , , | 1 Comment

Want to know what’s going to happen at 20nm, 14nm, and beyond? A few answers from Frank Leu of Cadence

Last week at the Global Technology Forum held at the Santa Clara Convention Center in Silicon Valley, Cadence VP of R&D Frank Leu discussed the things we’ve learned about 20nm IC manufacturing, what we are learning about 14nm, and where … Continue reading

Posted in 14nm, 20nm, 28nm, EDA360, Globalfoundries, Samsung, Silicon Realization, SoC, SoC Realization, System Realization | Tagged , , , , , , | 2 Comments

By the numbers: 20nm (and 40nm, 32nm, 28nm, and 14nm) design to be discussed in technical detail at next week’s CDNLive! conference in Silicon Valley

There’s still time to register for CDNLive!, which is being held on March 13 and 14 at the Doubletree Hotel in San Jose, California so let me give you a few numbers to whet your appetite: 40nm, 32nm, 28nm, 20nm, … Continue reading

Posted in 14nm, 20nm, 28nm, 32nm, 40nm, CDNLive!, EDA360, Silicon Realization, SoC, SoC Realization, System Realization | Tagged , , , , , , , , , , | Leave a comment

Friday Video: Common Platform Technology Forum reveals program agenda, rolls into Silicon Valley on March 14

The Common Platform partners are IBM, Samsung, and GLOBALFOUNDRIES and their annual Technology Forum  rolls into Silicon Valley on March 14, so you have a couple of weeks to sign up. This short video from ChipEstimate.com gives you a good … Continue reading

Posted in 14nm, 20nm, 28nm | Tagged , , , , , , | Leave a comment

SanDisk shows 128Gbit, 3-level cell NAND Flash memory chip at ISSCC. Is 20nm (or 19nm) here, so soon?

I’ve just posted a blog entry in the Denali Memory Report on an important NAND Flash memory announcement by SanDisk. Please check it out. http://j.mp/A6wAju

Posted in EDA360, Memory, Silicon Realization | Tagged , | Leave a comment

Where is the mainstream IC process technology today? 28nm? 40nm? 65nm?

EDA companies like Cadence focus on developing the latest tools for bleeding-edge process technologies—28nm and 20nm today—and that’s been the emphasis of my blog posts from last week’s Global technology Conference (GTC). However, there was one panel at the conference … Continue reading

Posted in EDA360, Globalfoundries, Silicon Realization | Tagged , , , , , , , | Leave a comment

GLOBALFOUNDRIES talks FinFETs, EUV, 14nm, ETSOI. Any other bleeding-edge chipmaking terms you wanted to hear?

Near the end of his Global Technology Conference presentation last week, Senior VP of Technology and R&D Gregg Bartlett jumped to the future—namely 2014 to 2015. By then, GLOBALFOUNDRIES plans to be implementing the second production phase for its 20nm … Continue reading

Posted in EDA360, Globalfoundries, Silicon Realization | Tagged , , , , | Leave a comment

How much faster will 20nm be?

I’ve been discussing Gregg Bartlett’s talk at this week’s Global Technology Conference and thought I’d focus this blog post on one graphic: As I mentioned in my last post, “GLOBALFOUNDRIES’ 28nm process comes in three flavors. Which is right for you?”, … Continue reading

Posted in EDA360, Globalfoundries, Low Power, Silicon Realization | Tagged | 2 Comments

GLOBALFOUNDRIES’ 28nm process comes in three flavors. Which is right for you?

This week at the Global Technology conference sponsored by GLOBALFOUNDRIES and its partners, Gregg Bartlett, Senior VP of Technology and Engineering at GLOBALFOUNDRIES, discussed the three flavors of the foundry’s 28nm IC manufacturing process. The 28nm-HPP (high-performance plus) process is … Continue reading

Posted in EDA360, Globalfoundries, Silicon Realization | Tagged , | Leave a comment

Friday Video: Everything you wanted to know about double patterning at 20nm and below…but were afraid to ask

Dr. Lars Liebman at IBM gave a very clear talk about the need for double patterning at the 22nm and 14nm nodes while at DAC a couple of months ago. Until EUV is ready for production, which is not expected … Continue reading

Posted in EDA360, Silicon Realization | Tagged , , , | Leave a comment

Free White Paper available on 20nm design

A number of manufacturing issues specific to 20nm pose a challenge to developing high-quality silicon and SoCs on time and on budget. Silicon Realization at such an advanced node requires a holistic approach consisting of three critical and interrelated components: … Continue reading

Posted in EDA360, Silicon Realization | Tagged | Leave a comment

Samsung 20nm test chip includes ARM Cortex-M0 processor core. How many will fit on the head of a pin?

Samsung and Cadence just announced the successful tapeout of a 20nm logic test chip that includes the ARM Cortex-M0 microprocessor core. This announcement is yet more evidence that Moore’s Law is alive and kicking…even below 28nm. This test chip design … Continue reading

Posted in ARM, EDA360, Silicon Realization | Tagged , , , , , , | Leave a comment

What’s that light at the end of the tunnel? It’s the 20nm express heading your way

Cadence sponsored a lunchtime discussion panel on 20nm design today at DAC. Veteran industry analyst Jim Handy moderated the panel and the panelists included Simon Segars, Executive Vice President and General Manager for Physical IP from ARM; Ana Hunter, Vice … Continue reading

Posted in ARM, EDA360, Silicon Realization, SoC Realization, System Realization | Tagged , , , | Leave a comment